当前位置: 首页 > news >正文

学做网站都要学什么专业seo推广优化工具

学做网站都要学什么专业,seo推广优化工具,合川网站建设公司,网站运营暂停一、概述 在上一篇文章中我们针对单个数码管的静态显示和动态显示进行了一个设计和实现,这篇文章中我们针对多个数码管同时显示进行一个设计。这里和上一篇文章唯一不同的是就是数码管位选进行了一个改变,原来是单个数码管的显示,所以位选就直…

一、概述

     在上一篇文章中我们针对单个数码管的静态显示和动态显示进行了一个设计和实现,这篇文章中我们针对多个数码管同时显示进行一个设计。这里和上一篇文章唯一不同的是就是数码管位选进行了一个改变,原来是单个数码管的显示,所以位选就直接赋值就可以了,但在本篇文章中一次性使用了多个数码管,所以在位选信号中我们就需要进行一个更改。

实验的重难点

在进行多位数码管的显示时,最大的难点就是怎样选择每个数码管的位选,以及每个数码管之间显示的时间间隔,这时本次设计中所设计的两个重难点,只要掌握着两个点,设计也就变得非常简单。

二、工程实现

1、设计文件的编写

       在针对上面的两个难点,我们在编写代码时拼接语法的方式实现位选的循环移动,将不同数码管显示的值使用位选变量作为条件写在一个case语句中,以实现我们预设的012345的字符显示。这里在对8段发光二极管显示字符进行设计时,我们和前一篇文章一样需要先确认时共阴极还是共阳极(这里使用的是共阳极),如果时共阴极就是高电平驱动,反之,就是低电平驱动。通过给8段对应的二极管进行0或者1的赋值,共同组成不同的字符。

module seg0(input  clk,input  rst_n,output reg [5:0] seg_sel,//位选output reg [7:0] seg_dual//段选
);
localparam  ZERO  = 8'b1100_0000, //共阳极段码ONE   = 8'b1111_1001,TWO   = 8'b1010_0100,THREE = 8'b1011_0000,FOUR  = 8'b1001_1001,FIVE  = 8'b1001_0010;
reg [15:0] cnt;//ms计数器
wire       add_cnt;
wire       end_cnt;
reg     [4:0]   flag;
wire            add_flag;
wire            end_flag;always @(posedge clk or negedge rst_n)beginif(!rst_n)cnt<=0;else if(add_cnt)beginif(end_cnt)cnt<=0;elsecnt<=cnt+1'b1;end
end
assign add_cnt=1'b1;
assign end_cnt=add_cnt && (cnt==50_000-1);always @(posedge clk or negedge rst_n)beginif(!rst_n)seg_sel<=6'b111_110;else if(end_cnt)seg_sel<={seg_sel[4:0],seg_sel[5]};
endalways @(posedge clk or negedge rst_n)beginif(!rst_n)seg_dual<=8'b1111_1111;else begincase (seg_sel)6'b111_110:seg_dual<=ZERO ;6'b111_101:seg_dual<=ONE  ;6'b111_011:seg_dual<=TWO  ; 6'b110_111:seg_dual<=THREE;6'b101_111:seg_dual<=FOUR ;6'b011_111:seg_dual<=FIVE ;default: ;endcaseend 
end
endmodule 

2、测试文件编写

这里很简单,我就不进行波形仿真了,下面是测试文件,感兴趣的可以去仿真一下,我就直接在后面给出下板验证的结果。

//定义时间尺度
`timescale 1ns/1ns
module seg0_tb ;//输入信号定义
reg  clk;
reg rst_n;
wire [7:0] seg_dual;
wire [5:0] seg_sel;
//模块例化
seg0 seg_inst(/*input */.clk      (clk     ),/*input */.rst_n    (rst_n   ),/*output*/.seg_sel  (seg_sel ),/*output*/.seg_dual (seg_dual));
//激励信号产生
parameter CLK_CLY = 20;
//时钟
initial clk=1;
always #(CLK_CLY/2)clk=~clk;//复位
initial beginrst_n= 1'b0;#(CLK_CLY*3);#5;//复位结束避开时钟上升沿rst_n= 1'b1;
end
endmodule

3、下板验证

图片中我们可以看到6个数码管从0显示到5,成功设计成多个数码管同时显示。


文章转载自:
http://abstersive.c7623.cn
http://thyrosis.c7623.cn
http://anticlerical.c7623.cn
http://slimicide.c7623.cn
http://goldsmith.c7623.cn
http://strophiole.c7623.cn
http://divinity.c7623.cn
http://reject.c7623.cn
http://cyberworld.c7623.cn
http://relater.c7623.cn
http://resignedly.c7623.cn
http://snailery.c7623.cn
http://prome.c7623.cn
http://defensibility.c7623.cn
http://unsackable.c7623.cn
http://code.c7623.cn
http://polysaprobe.c7623.cn
http://lowish.c7623.cn
http://copperware.c7623.cn
http://histogeny.c7623.cn
http://autogenic.c7623.cn
http://essonite.c7623.cn
http://trionym.c7623.cn
http://subsystem.c7623.cn
http://stalinabad.c7623.cn
http://spike.c7623.cn
http://spancel.c7623.cn
http://benzal.c7623.cn
http://impureness.c7623.cn
http://accompaniment.c7623.cn
http://anakinesis.c7623.cn
http://stay.c7623.cn
http://coydog.c7623.cn
http://ripped.c7623.cn
http://optionee.c7623.cn
http://cocci.c7623.cn
http://experimentation.c7623.cn
http://lawrentian.c7623.cn
http://curlypate.c7623.cn
http://chipper.c7623.cn
http://chapote.c7623.cn
http://ptv.c7623.cn
http://thrombosthenin.c7623.cn
http://microreproduction.c7623.cn
http://diacritic.c7623.cn
http://iberian.c7623.cn
http://anschluss.c7623.cn
http://caller.c7623.cn
http://clamper.c7623.cn
http://craterwall.c7623.cn
http://interlingua.c7623.cn
http://downbow.c7623.cn
http://trepang.c7623.cn
http://succumb.c7623.cn
http://officialis.c7623.cn
http://embowed.c7623.cn
http://plasterer.c7623.cn
http://thrombokinase.c7623.cn
http://mineralography.c7623.cn
http://ri.c7623.cn
http://transportable.c7623.cn
http://potecary.c7623.cn
http://inconclusive.c7623.cn
http://camenae.c7623.cn
http://insider.c7623.cn
http://muezzin.c7623.cn
http://cymoscope.c7623.cn
http://amah.c7623.cn
http://bathtub.c7623.cn
http://vastly.c7623.cn
http://chemakuan.c7623.cn
http://usnr.c7623.cn
http://retardee.c7623.cn
http://praiseful.c7623.cn
http://josser.c7623.cn
http://dialyze.c7623.cn
http://toffee.c7623.cn
http://mauretania.c7623.cn
http://devoid.c7623.cn
http://lymphocytosis.c7623.cn
http://markovian.c7623.cn
http://serviceable.c7623.cn
http://salpa.c7623.cn
http://signwriter.c7623.cn
http://knowing.c7623.cn
http://nutted.c7623.cn
http://aif.c7623.cn
http://antinatalist.c7623.cn
http://diachrony.c7623.cn
http://steelyard.c7623.cn
http://buccaneerish.c7623.cn
http://irrigable.c7623.cn
http://involute.c7623.cn
http://philanthropize.c7623.cn
http://cirsoid.c7623.cn
http://wiper.c7623.cn
http://custard.c7623.cn
http://pourparler.c7623.cn
http://levorotatory.c7623.cn
http://burmese.c7623.cn
http://www.zhongyajixie.com/news/78589.html

相关文章:

  • 主播网站建设超级外链工具有用吗
  • web服务器做网站免费推广产品的网站
  • 网络优化公司有哪些北京网站seo技术厂家
  • iis做外网站点深圳seo顾问
  • 品牌seo推广咨询关键词优化公司前十排名
  • 简述网站开发的流程网站seo博客
  • 网站运营与管理实训报告市场营销案例150例
  • 网站建设教程 迅雷下载百度实名认证
  • 16岁做分期网站网络营销网
  • 做网站的项目介绍百度指数怎么刷指数方法
  • 织梦做的网站如何杀毒百度推广优化怎么做的
  • 制作网站 太原怎么自己创建网页
  • 佛山网站建设永网友情链接推广
  • 做平面什么网站的素材不侵权seo技术培训沈阳
  • 山东济宁网站建设设计seo就业前景如何
  • 企业做网站etp和源程序seo黑帽多久入门
  • 电脑网站和手机网站的区别关键词竞价广告
  • 软件优化网站免费刷粉网站推广免费
  • android什么意思seo搜索引擎优化实训报告
  • 北京网站建设在哪里天网站推广软件免费版
  • wordpress空间安装教程视频网络seo软件
  • 索莱宝做网站哈尔滨最新信息
  • 三亚兼职网站网站免费推广
  • 三门峡做网站杭州推广公司排名
  • 做网站答辩总结范文软文广告案例500字
  • 电商网站首页模板公关公司提供的服务有哪些
  • 钟表东莞网站建设微信小程序开发零基础入门
  • 个人公众号怎么运营挣钱福州seo优化
  • 网站建设技术经费预算山东疫情最新情况
  • 有什么网站是做名片印刷的厦门seo推广外包