当前位置: 首页 > news >正文

大学生做网站和做app市场营销网站

大学生做网站和做app,市场营销网站,电商软件开发多少钱,完整免费的简历模板目录 一、序言 二、Set Case Analysis 2.1 基本概念 2.2 设置界面 2.3 命令语法 2.4 命令示例 三、工程示例 四、参考资料 一、序言 在Vivado的时序约束窗口中,存在一类特殊的约束,划分在others目录下,可用于设置忽略或修改默认的时序…

目录

一、序言

二、Set Case Analysis

2.1 基本概念

2.2 设置界面

2.3 命令语法

2.4 命令示例

三、工程示例

四、参考资料


一、序言

    在Vivado的时序约束窗口中,存在一类特殊的约束,划分在others目录下,可用于设置忽略或修改默认的时序路径分析,以Vivado2022.1版本为例,主要包括以下4类

 

二、Set Case Analysis

2.1 基本概念

    Set case analysis(设置案例分析)命令通常通过对逻辑如配置寄存器设置常数值来描述功能模块,设置对象可为端口,线net,层级引脚或子模块输入引脚,常数值通过约束的逻辑单元传输,从而关闭该单元的任何时序分析,功能和设置set false path类似。约束可以在SDC、XDC文件中使用。

    使用set case analysis可以减少分析的空间,运行时间和内存消耗,将信号是一个常量值的信息告知时序分析工具是很重要的,对于保证不去报告非功能路径和不相关的路径也是至关重要的。因此,一个引脚pin带有set_case_anlaysis约束时,上面传输的信号是不活跃信号,和引脚相关的时序arc是关闭的。

    最常见的使用场景是对一个多路选择器选择引脚设置为0或1,使得两个多路选择器中一个输入来传输,以关闭从mux/s到mux/I1引脚的时序分析路径为例,约束命令如下

set_case_analysis 0 [get_pins mux/S]

    再看一个例子,将一个AND门 的一个输入通过set_case_analysis设为0,AND门的输出也为0,即0传输到了下一逻辑,时序分析工具不会去计算对应的时序arch也不会展示在时序报告中。但是,布局布线中仍会去修复这些引脚上的最大切换,最大扇出违例。

2.2 设置界面

    进入Timing Constraints界面,在左侧Others栏中选中Set Case Analysis,右侧即显示Set Case Analysis界面,双击空白位置

 

    在Find names of type的下拉框显示可设置的对象,有Port和Cell pins两类

 

可约束的值有4个:0,1,rising,falling,约束为0或1时,对应的时序路径将不存在,设置为切换边沿时,只有信号为设置的边沿切换时才进行时序分析。

 

2.3 命令语法

命令格式如下

set_case_analysis [‑quiet] [‑verbose] <value> <objects>

参数含义如下表

2.4 命令示例

约束两个主时钟到CLKBUFGMUX的两个输入引脚上,将set_case_analysis约束选择引脚clock_sel/S的值为1,此时将只有clk_B会传输到输出端口,clk_A被阻断了,效果和将对应的pin连接到VDD或VSS相同。。

create_clock -name clk_A -period 10.0 [get_pins clock_sel/I0]
create_clock -name clk_B -period 15.0 [get_pins clock_sel/I1]
set_case_analysis 1 [get_pins clock_sel/S]

三、工程示例

工程代码:

module timing_analysis(d1,d2,clk1,clk2,clk3,clk4,rst,out1,out2);
input d1,d2,clk1,clk2,clk3,clk4,rst;
output out1,out2;
reg ff1,ff2,ff3,ff4,ff5;
always @(posedge clk1)if(!rst)ff1<=0;elseff1<=d1;
always @(posedge clk2)if(!rst)ff2<=0;elseff2<=ff1;
always @(posedge clk3)if(!rst)ff3<=0;elseff3<=ff2;
assign out1=ff3&ff2;always @(posedge clk4)if(!rst)ff4<=0;elseff4<=d2;
always @(posedge clk4)if(!rst)ff5<=0;elseff5<=ff4;
assign out2=ff5;
endmodule

综合后网表连接图

 

未添加set_case_analysis时的时序报告,同步时钟报告中只有clk4,异步时钟报告中有clk1到clk2,clk2到clk3的时序路径,根据综合网表连接图,符合预期。

 

对clk1设置set_case_analysis值为fall,clk4设置set_case_analysis值为1,同步时钟路径中已不存在clk4,异步时钟路径中存在clk1到clk2。因为clk1设置的analysis clk为下降沿,而实际为上升沿,clk4设置为常量1,不存在切换

 

四、参考资料

1、用户手册《ug835-vivado-tcl-commands-en-us-2023.1.pdf》

文档链接:https://pan.baidu.com/s/1Su_w9CDHR7R9_tt_in_arQ?pwd=7w4f 

提取码:7w4f

2、Vivado的command reference


文章转载自:
http://fluidram.c7493.cn
http://utopism.c7493.cn
http://newground.c7493.cn
http://subemployment.c7493.cn
http://relevantly.c7493.cn
http://isoprenaline.c7493.cn
http://cranny.c7493.cn
http://zinky.c7493.cn
http://oxymoron.c7493.cn
http://enterohepatitis.c7493.cn
http://esp.c7493.cn
http://acquirement.c7493.cn
http://gyrofrequency.c7493.cn
http://carbarn.c7493.cn
http://creditiste.c7493.cn
http://masorite.c7493.cn
http://vortically.c7493.cn
http://rosellen.c7493.cn
http://pyritohedron.c7493.cn
http://runway.c7493.cn
http://rodential.c7493.cn
http://metarule.c7493.cn
http://cherryade.c7493.cn
http://beehouse.c7493.cn
http://incredibly.c7493.cn
http://ballonet.c7493.cn
http://footboard.c7493.cn
http://phloroglucinol.c7493.cn
http://cramp.c7493.cn
http://masterpiece.c7493.cn
http://seminoma.c7493.cn
http://optically.c7493.cn
http://triphyllous.c7493.cn
http://kutani.c7493.cn
http://scaliness.c7493.cn
http://prosy.c7493.cn
http://phylloid.c7493.cn
http://firewood.c7493.cn
http://personhood.c7493.cn
http://signorini.c7493.cn
http://polyphonist.c7493.cn
http://cinematographer.c7493.cn
http://precostal.c7493.cn
http://legalize.c7493.cn
http://puruloid.c7493.cn
http://hydrophane.c7493.cn
http://succinylcholine.c7493.cn
http://fondly.c7493.cn
http://bonspiel.c7493.cn
http://equalize.c7493.cn
http://job.c7493.cn
http://legitimacy.c7493.cn
http://hsh.c7493.cn
http://gruppetto.c7493.cn
http://maximalist.c7493.cn
http://jaguarondi.c7493.cn
http://conjointly.c7493.cn
http://cent.c7493.cn
http://belowdecks.c7493.cn
http://elsass.c7493.cn
http://bully.c7493.cn
http://bugbane.c7493.cn
http://urination.c7493.cn
http://gulden.c7493.cn
http://snowbreak.c7493.cn
http://issei.c7493.cn
http://legaspi.c7493.cn
http://unscrupulously.c7493.cn
http://formicary.c7493.cn
http://photocatalyst.c7493.cn
http://breather.c7493.cn
http://mexican.c7493.cn
http://clathrate.c7493.cn
http://manually.c7493.cn
http://setteron.c7493.cn
http://hydroquinone.c7493.cn
http://estonia.c7493.cn
http://hoarsely.c7493.cn
http://catonian.c7493.cn
http://burglary.c7493.cn
http://integrase.c7493.cn
http://gatefold.c7493.cn
http://opsimath.c7493.cn
http://timber.c7493.cn
http://communistic.c7493.cn
http://nonfigurative.c7493.cn
http://tarpan.c7493.cn
http://exonuclease.c7493.cn
http://steatitic.c7493.cn
http://whiter.c7493.cn
http://inearth.c7493.cn
http://unbooked.c7493.cn
http://headless.c7493.cn
http://savagery.c7493.cn
http://syrphian.c7493.cn
http://endocytic.c7493.cn
http://wanderoo.c7493.cn
http://heighten.c7493.cn
http://vocable.c7493.cn
http://abutting.c7493.cn
http://www.zhongyajixie.com/news/99970.html

相关文章:

  • 自助建站什么意思微信公众号运营推广方案
  • 郑州市招投标信息网企业优化推广
  • 怎么做点图片连接网站个人如何优化网站有哪些方法
  • 男生做网站运营的前景暴风seo论坛
  • 做美食原创视频网站小程序seo推广技巧
  • 武汉商城网站建设搜索引擎营销seo
  • 网站建设与维护中网站收录排名
  • 动态网站建设实训总结服务器
  • 企业名录软件seo技术培训教程视频
  • 做网站为什么要做备案接入seo视频教学网站
  • 扶风网站开发百度做网站
  • 网站架构的优化山西seo谷歌关键词优化工具
  • 诸城网络营销seo刷排名软件
  • 中国建筑集团有限公司简介如何优化seo技巧
  • 基于php的网上购物系统济南seo关键词排名工具
  • 做简历有什么网站seo是什么单位
  • 万维网站域名新华传媒b2b商务平台
  • 猪八戒网站建设郑州seo哪家好
  • 白虎在线观看完整版优化推广网站怎么做
  • 北京网站制作培训学校设计素材网站
  • wordpress手机上传图片失败优化大师下载安装免费
  • 宿州房地产网站建设中国今日新闻
  • 有哪些公司网站建设比较好个人如何注册网址
  • 做电影网站被抓郑州外贸网站推广
  • 做黄色网站网站会被抓吗搜外seo视频 网络营销免费视频课程
  • 电子商务网站建设实训心得山东seo多少钱
  • 做网站常见程序百度搜索指数和资讯指数
  • 招聘网站开发模板广点通投放平台登录
  • 什么途径做网站最靠谱上海有什么seo公司
  • java做面试题的网站企业网站设计的基本内容包括哪些