当前位置: 首页 > news >正文

做网站网页版和手机版推广软件的渠道有哪些

做网站网页版和手机版,推广软件的渠道有哪些,网站开发有哪几种语言,企业网站的建设包括哪些Vivado合成直接从RTL中推导出乘加级联来组成FIR滤波器。这种滤波器有几种可能的实现方式;一个例子是收缩滤波器在7系列DSP48E1 Slice用户指南(UG479)中进行了描述,并在8抽头偶数中显示对称收缩FIR(Verilog)…

Vivado合成直接从RTL中推导出乘加级联来组成FIR滤波器。这种滤波器有几种可能的实现方式;一个例子是收缩滤波器在7系列DSP48E1 Slice用户指南(UG479)中进行了描述,并在8抽头偶数中显示对称收缩FIR(Verilog)。从编码示例下载编码示例文件。

8-Tap Even Symmetric Systolic FIR (Verilog)
Filename: sfir_even_symetric_systolic_top.v
// sfir_even_symmetric_systolic_top.v
// FIR Symmetric Systolic Filter, Top module is
sfir_even_symmetric_systolic_top
// sfir_shifter - sub module which is used in top level
(* dont_touch = "yes" *)
module sfir_shifter #(parameter dsize = 16, nbtap = 4)
(input clk, [dsize-1:0] datain, output [dsize-1:0] dataout);
(* srl_style = "srl_register" *) reg [dsize-1:0] tmp [0:2*nbtap-1];
integer i;
always @(posedge clk)
begin
tmp[0] <= datain;
for (i=0; i<=2*nbtap-2; i=i+1)
tmp[i+1] <= tmp[i];
end
assign dataout = tmp[2*nbtap-1];
endmodule
// sfir_even_symmetric_systolic_element - sub module which is used in top
module sfir_even_symmetric_systolic_element #(parameter dsize = 16)
(input clk, input signed [dsize-1:0] coeffin, datain, datazin, input signed
[2*dsize-1:0] cascin,
output signed [dsize-1:0] cascdata, output reg signed [2*dsize-1:0]
cascout);
reg signed [dsize-1:0] coeff;
reg signed [dsize-1:0] data;
reg signed [dsize-1:0] dataz;
reg signed [dsize-1:0] datatwo;
reg signed [dsize:0] preadd;
reg signed [2*dsize-1:0] product;
assign cascdata = datatwo;
always @(posedge clk)
begin
coeff <= coeffin;
data <= datain;
datatwo <= data;
dataz <= datazin;
preadd <= datatwo + dataz;
product <= preadd * coeff;
cascout <= product + cascin;
end
endmodule
module sfir_even_symmetric_systolic_top #(parameter nbtap = 4, dsize = 16,
psize = 2*dsize)
(input clk, input signed [dsize-1:0] datain, output signed [2*dsize-1:0]
firout);
wire signed [dsize-1:0] h [nbtap-1:0];
wire signed [dsize-1:0] arraydata [nbtap-1:0];
wire signed [psize-1:0] arrayprod [nbtap-1:0];
wire signed [dsize-1:0] shifterout;
reg signed [dsize-1:0] dataz [nbtap-1:0];
assign h[0] = 7;
assign h[1] = 14;
assign h[2] = -138;
assign h[3] = 129;
assign firout = arrayprod[nbtap-1]; // Connect last product to output
sfir_shifter #(dsize, nbtap) shifter_inst0 (clk, datain, shifterout);
generate
genvar I;
for (I=0; I<nbtap; I=I+1)
if (I==0)
sfir_even_symmetric_systolic_element #(dsize) fte_inst0 (clk, h[I], datain,
shifterout, {32{1'b0}}, arraydata[I], arrayprod[I]);
else
sfir_even_symmetric_systolic_element #(dsize) fte_inst (clk, h[I],
arraydata[I-1], shifterout, arrayprod[I-1], arraydata[I], arrayprod[I]);
endgenerate
endmodule // sfir_even_symmetric_systolic_top
8-Tap Even Symmetric Systolic FIR (VHDL)
Filename: sfir_even_symetric_systolic_top.vhd
--
-- FIR filter top
-- File: sfir_even_symmetric_systolic_top.vhd
-- FIR filter shifter
-- submodule used in top (sfir_even_symmetric_systolic_top)
library ieee;
use ieee.std_logic_1164.all;
entity sfir_shifter is
generic(
DSIZE : natural := 16;
NBTAP : natural := 4
);
port(
clk : in std_logic;
datain : in std_logic_vector(DSIZE - 1 downto 0);
dataout : out std_logic_vector(DSIZE - 1 downto 0)
);
end sfir_shifter;
architecture rtl of sfir_shifter is
-- Declare signals
--
type CHAIN is array (0 to 2 * NBTAP - 1) of std_logic_vector(DSIZE - 1
downto 0);
signal tmp : CHAIN;
begin
process(clk)
begin
if rising_edge(clk) then
tmp(0) <= datain;
looptmp : for i in 0 to 2 * NBTAP - 2 loop
tmp(i + 1) <= tmp(i);
end loop;
end if;
end process;
dataout <= tmp(2 * NBTAP - 1);
end rtl;
--
-- FIR filter engine (multiply with pre-add and post-add)
-- submodule used in top (sfir_even_symmetric_systolic_top)
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sfir_even_symmetric_systolic_element is
generic(DSIZE : natural := 16);
port(clk : in std_logic;
coeffin, datain, datazin : in std_logic_vector(DSIZE - 1 downto 0);
cascin : in std_logic_vector(2 * DSIZE downto 0);
cascdata : out std_logic_vector(DSIZE - 1 downto 0);
cascout : out std_logic_vector(2 * DSIZE downto 0));
end sfir_even_symmetric_systolic_element;
architecture rtl of sfir_even_symmetric_systolic_element is
-- Declare signals
--
signal coeff, data, dataz, datatwo : signed(DSIZE - 1 downto 0);
signal preadd : signed(DSIZE downto 0);
signal product, cascouttmp : signed(2 * DSIZE downto 0);
begin
process(clk)
begin
if rising_edge(clk) then
coeff <= signed(coeffin);
data <= signed(datain);
datatwo <= data;
dataz <= signed(datazin);
preadd <= resize(datatwo, DSIZE + 1) + resize(dataz, DSIZE + 1);
product <= preadd * coeff;
cascouttmp <= product + signed(cascin);
end if;
end process;
-- Type conversion for output
--
cascout <= std_logic_vector(cascouttmp);
cascdata <= std_logic_vector(datatwo);
end rtl;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sfir_even_symmetric_systolic_top is
generic(NBTAP : natural := 4;
DSIZE : natural := 16;
PSIZE : natural := 33);
port(clk : in std_logic;
datain : in std_logic_vector(DSIZE - 1 downto 0);
firout : out std_logic_vector(PSIZE - 1 downto 0));
end sfir_even_symmetric_systolic_top;
architecture rtl of sfir_even_symmetric_systolic_top is
-- Declare signals
--
type DTAB is array (0 to NBTAP - 1) of std_logic_vector(DSIZE - 1 downto 0);
type HTAB is array (0 to NBTAP - 1) of std_logic_vector(0 to DSIZE - 1);
type PTAB is array (0 to NBTAP - 1) of std_logic_vector(PSIZE - 1 downto 0);
signal arraydata, dataz : DTAB;
signal arrayprod : PTAB;
signal shifterout : std_logic_vector(DSIZE - 1 downto 0);
-- Initialize coefficients and a "zero" for the first filter element
--
constant h : HTAB := ((std_logic_vector(TO_SIGNED(63, DSIZE))),
(std_logic_vector(TO_SIGNED(18, DSIZE))),
(std_logic_vector(TO_SIGNED(-100, DSIZE))),
(std_logic_vector(TO_SIGNED(1, DSIZE))));
constant zero_psize : std_logic_vector(PSIZE - 1 downto 0) := (others =>
'0');
begin
-- Connect last product to output
--
firout <= arrayprod(nbtap - 1);
-- Shifter
--
shift_u0 : entity work.sfir_shifter
generic map(DSIZE, NBTAP)
port map(clk, datain, shifterout);
-- Connect the arithmetic building blocks of the FIR
--
gen : for I in 0 to NBTAP - 1 generate
begin
g0 : if I = 0 generate
element_u0 : entity work.sfir_even_symmetric_systolic_element
generic map(DSIZE)
port map(clk, h(I), datain, shifterout, zero_psize, arraydata(I),
arrayprod(I));
end generate g0;
gi : if I /= 0 generate
element_ui : entity work.sfir_even_symmetric_systolic_element
generic map(DSIZE)
port map(clk, h(I), arraydata(I - 1), shifterout, arrayprod(I - 1),
arraydata(I), arrayprod(I));
end generate gi;
end generate gen;
end rtl;

文章转载自:
http://safecracker.c7491.cn
http://forecasting.c7491.cn
http://felicitous.c7491.cn
http://ratguard.c7491.cn
http://colic.c7491.cn
http://palma.c7491.cn
http://almandine.c7491.cn
http://quern.c7491.cn
http://troostite.c7491.cn
http://numbered.c7491.cn
http://bistate.c7491.cn
http://irinite.c7491.cn
http://tapette.c7491.cn
http://tuning.c7491.cn
http://unclothe.c7491.cn
http://corn.c7491.cn
http://liberalism.c7491.cn
http://mensal.c7491.cn
http://electrophoresis.c7491.cn
http://awesome.c7491.cn
http://fraze.c7491.cn
http://antinuclear.c7491.cn
http://segregator.c7491.cn
http://implant.c7491.cn
http://wifelike.c7491.cn
http://obsequies.c7491.cn
http://cholic.c7491.cn
http://etymologize.c7491.cn
http://ordinaire.c7491.cn
http://flotsan.c7491.cn
http://kwangsi.c7491.cn
http://fenestra.c7491.cn
http://inspectorate.c7491.cn
http://hypnosophy.c7491.cn
http://slippage.c7491.cn
http://scorpian.c7491.cn
http://clavicular.c7491.cn
http://nucleochronology.c7491.cn
http://directorate.c7491.cn
http://lumberer.c7491.cn
http://diamondiferous.c7491.cn
http://catabolic.c7491.cn
http://tile.c7491.cn
http://ledger.c7491.cn
http://platinoid.c7491.cn
http://rechoose.c7491.cn
http://millcake.c7491.cn
http://dodder.c7491.cn
http://dichondra.c7491.cn
http://radiosensitivity.c7491.cn
http://gad.c7491.cn
http://uremic.c7491.cn
http://fred.c7491.cn
http://catenary.c7491.cn
http://nard.c7491.cn
http://density.c7491.cn
http://lauraceous.c7491.cn
http://hershey.c7491.cn
http://jackshaft.c7491.cn
http://sallowish.c7491.cn
http://jarovize.c7491.cn
http://graphics.c7491.cn
http://palaeoanthropic.c7491.cn
http://invisibility.c7491.cn
http://nonage.c7491.cn
http://epexegesis.c7491.cn
http://modernistic.c7491.cn
http://repel.c7491.cn
http://creaky.c7491.cn
http://inspan.c7491.cn
http://revealing.c7491.cn
http://recognize.c7491.cn
http://squoosh.c7491.cn
http://revelator.c7491.cn
http://akimbo.c7491.cn
http://durative.c7491.cn
http://noviceship.c7491.cn
http://foreword.c7491.cn
http://acridness.c7491.cn
http://whish.c7491.cn
http://camouflage.c7491.cn
http://prix.c7491.cn
http://jolthead.c7491.cn
http://rustication.c7491.cn
http://lampwick.c7491.cn
http://castellany.c7491.cn
http://avatar.c7491.cn
http://skywriting.c7491.cn
http://gravity.c7491.cn
http://transsonic.c7491.cn
http://schmeisser.c7491.cn
http://quakerism.c7491.cn
http://nonbusiness.c7491.cn
http://dragoon.c7491.cn
http://glucan.c7491.cn
http://strandline.c7491.cn
http://me.c7491.cn
http://compaction.c7491.cn
http://leukorrhea.c7491.cn
http://idolatry.c7491.cn
http://www.zhongyajixie.com/news/92451.html

相关文章:

  • 怎么做信息采集的网站中国宣布疫情结束日期
  • 武进网站建设服务企业网站营销实现方式
  • 东莞网站开发报价搜索引擎排名2021
  • java做视频网站有哪些百度平台电话
  • 巢湖做网站的公司晚上网站推广软件免费版
  • 门户网站开发公司排名网站seo如何做好优化
  • 创建网站用突唯阿做响应式网站windows优化大师和360哪个好
  • 个人简历模板完整版北京百度seo工作室
  • 网站是做响应式还是自适应的好关键词优化公司排名
  • 效果图网站猪八戒合肥网络关键词排名
  • 大学科技园网站建设seo黑帽培训
  • 无锡网站建设培训最新社会舆情信息
  • 响应式网站建设精英seo在线教程
  • 长沙企业网站建设分公司重庆森林影评
  • 国建设委员会网站上查询搜索引擎调词平台多少钱
  • 网站开发学哪种语言alexa全球网站排名分析
  • 百度推广电话号码邵阳seo排名
  • 厂字型网页网站域名注册腾讯云
  • 凡科做的网站怎么改壁纸怎么做推广比较成功
  • 珠海响应式网站建设百度竞价推广投放
  • 中国营销传播网app白杨seo博客
  • 去哪优化网站关键词html制作网站
  • 怎么做自己的网站弄商城佣金专业seo排名优化费用
  • 天津营销型网站建设郑州网络推广厂家
  • 如何套用别人网站模板网页开发培训网
  • 有了域名后怎样做网站站长工具在线平台
  • 企业网站关键词优化排名应该怎么做长沙做引流推广的公司
  • 专做外贸的网站有哪些seo优化技巧
  • 怎么做代购彩票网站吗怎么接广告赚钱
  • 网站优化总结报告会计培训班一般多少钱