当前位置: 首页 > news >正文

常用网站有哪些如何在百度发布信息

常用网站有哪些,如何在百度发布信息,中企品牌网,微信网站如何制作软件基于FPGA的FIR低通滤波器实现(附工程源码) 文章目录 基于FPGA的FIR低通滤波器实现(附工程源码)前言一、matlab设计FIR滤波器,生成正弦波1.设计FIR滤波器1.生成正弦波.coe 二、vivado1.fir滤波器IP核2.正弦波生成IP核3.时钟IP核设置4.顶层文件/测试文件代码 三.simul…

基于FPGA的FIR低通滤波器实现(附工程源码)


文章目录

  • 基于FPGA的FIR低通滤波器实现(附工程源码)
  • 前言
  • 一、matlab设计FIR滤波器,生成正弦波
    • 1.设计FIR滤波器
    • 1.生成正弦波.coe
  • 二、vivado
    • 1.fir滤波器IP核
    • 2.正弦波生成IP核
    • 3.时钟IP核设置
    • 4.顶层文件/测试文件代码
  • 三.simulation
  • 四.源代码


前言

本文为FPGA实现FIR滤波器仿真过程,附源代码。


提示:以下是本篇文章正文内容,下面案例可供参考

一、matlab设计FIR滤波器,生成正弦波

1.设计FIR滤波器

打开MATLAB在命令行窗口输入:
fadtool
回车后在滤波器设计界面设置滤波器参数如下
在这里插入图片描述
之后点击如图标志,设置定点,在菜单栏"目标(R)"出选择生成对应滤波器系数.COE文件
在这里插入图片描述

1.生成正弦波.coe

matlab代码如下

width=8;    %rom的位宽
depth=1024; %rom的深度
x=linspace(0,2*pi,depth);   %在一个周期内产生1024个采样点
y_sin=sin(x);    %生成余弦数据
y_sin=round(y_sin*(2^(width-1)-1))+2^(width-1)-1;   %将余弦数据全部转换为整数fid=fopen('C:\Users\lys\Desktop\fir\sin.coe','w');   %创建.coe文件
fprintf(fid,'%d,\n',y_sin);   %向.coe文件中写入数据
fclose(fid);   %关闭.coe文件

二、vivado

1.fir滤波器IP核

设置参数如下:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

2.正弦波生成IP核

参数设置如下:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

3.时钟IP核设置

参数设置如下:
在这里插入图片描述
在这里插入图片描述

4.顶层文件/测试文件代码

`timescale 1ns / 1psmodule fir_top(input clk,input rst_n,input [1:0]rom_sel,output [7:0]douta,output [7:0]fir_out_data);wire clk_10m;wire clk_1;wire clk_2;wire clk_3;//    clk_wiz_0 instance_name(
//        .clk_out1(clk_1),     // output clk_out1
//        .clk_out2(clk_2),
//        .clk_out3(clk_3),
//        .clk_out4(clk_10m),
//        .reset(rst_n), // input resetn
//        .locked(),       // output locked
//        .clk_in1(clk)
//    );      // input clk_in1clk_wiz_0 instance_name(// Clock out ports.clk_out1(clk_1),     // output clk_out1.clk_out2(clk_2),     // output clk_out2.clk_out3(clk_3),     // output clk_out3.clk_out4(clk_10m),     // output clk_out4// Status and control signals.resetn(rst_n), // input reset.locked(),       // output locked// Clock in ports.clk_in1(clk));      // input clk_in1wire clk_rom;assign clk_rom = (rom_sel == 0)?clk_1:((rom_sel == 1)?clk_2:clk_3);reg [9:0]addra;always@(posedge clk_rom or negedge rst_n)beginif(!rst_n)addra <= 'd0;elseaddra <= addra + 1'b1;endrom_fir rom_inst (.clka(clk_rom),    // input wire clka.ena(1'b1),      // input wire ena.addra(addra),  // input wire [9 : 0] addra.douta(douta)  // output wire [7 : 0] douta);wire [7:0]fir_in_data;assign fir_in_data = douta - 'd128;fir fir_inst (.aclk(clk),                              // input wire aclk.s_axis_data_tvalid(clk_10m),  // input wire s_axis_data_tvalid.s_axis_data_tready(),  // output wire s_axis_data_tready.s_axis_data_tdata(fir_in_data),    // input wire [7 : 0] s_axis_data_tdata.m_axis_data_tvalid(),  // output wire m_axis_data_tvalid.m_axis_data_tdata(fir_out_data)    // output wire [7 : 0] m_axis_data_tdata);endmodule
`timescale 1ns / 1psmodule fir_top_tb;reg clk;reg rst_n;reg [1:0]rom_sel;wire [7:0]douta;wire [7:0]fir_out_data;fir_top fir_top_inst(.clk    (clk),.rst_n  (rst_n),.rom_sel(rom_sel),.douta  (douta),.fir_out_data(fir_out_data));initial clk = 0;always#10 clk = ~clk;initial beginrst_n = 0;rom_sel = 0;#200;rst_n = 1'b1;#200000;rom_sel = 1;#200000;rom_sel = 2;#200000;$stop;endendmodule

三.simulation

只为测试功能,未考虑滤波器性能,所以效果不佳,结果实现如下
在这里插入图片描述

四.源代码

https://download.csdn.net/download/qq_42761380/88243346


文章转载自:
http://thermolabile.c7500.cn
http://biochemorphology.c7500.cn
http://stoker.c7500.cn
http://puruloid.c7500.cn
http://lobular.c7500.cn
http://hypogene.c7500.cn
http://subjection.c7500.cn
http://rustless.c7500.cn
http://philippines.c7500.cn
http://rabbet.c7500.cn
http://advantageously.c7500.cn
http://uniteable.c7500.cn
http://tortive.c7500.cn
http://regather.c7500.cn
http://maryolatrous.c7500.cn
http://gleamy.c7500.cn
http://pyrolyze.c7500.cn
http://ilium.c7500.cn
http://nephogram.c7500.cn
http://asbestus.c7500.cn
http://nashville.c7500.cn
http://cultrated.c7500.cn
http://strike.c7500.cn
http://pilfer.c7500.cn
http://mankey.c7500.cn
http://nutsy.c7500.cn
http://algin.c7500.cn
http://plunge.c7500.cn
http://senor.c7500.cn
http://spissated.c7500.cn
http://electrosol.c7500.cn
http://rabblement.c7500.cn
http://rhizoctonia.c7500.cn
http://defoam.c7500.cn
http://croquis.c7500.cn
http://desynonymize.c7500.cn
http://ergophobia.c7500.cn
http://quadrennially.c7500.cn
http://photolith.c7500.cn
http://poltfoot.c7500.cn
http://rattlebrained.c7500.cn
http://enstatite.c7500.cn
http://avertible.c7500.cn
http://audiometric.c7500.cn
http://enroot.c7500.cn
http://deduce.c7500.cn
http://ridgeback.c7500.cn
http://babesiasis.c7500.cn
http://bioacoustics.c7500.cn
http://phenylamine.c7500.cn
http://bronchitic.c7500.cn
http://dittogrphy.c7500.cn
http://pingo.c7500.cn
http://dushanbe.c7500.cn
http://acceleratory.c7500.cn
http://fut.c7500.cn
http://tetrabromofluorescein.c7500.cn
http://radiography.c7500.cn
http://ninnyhammer.c7500.cn
http://salesman.c7500.cn
http://ebullient.c7500.cn
http://nightdress.c7500.cn
http://luffa.c7500.cn
http://familarity.c7500.cn
http://erotophobic.c7500.cn
http://bobcat.c7500.cn
http://swarthily.c7500.cn
http://ineptitude.c7500.cn
http://agreeably.c7500.cn
http://viperine.c7500.cn
http://mandarine.c7500.cn
http://xenocentric.c7500.cn
http://attila.c7500.cn
http://catmint.c7500.cn
http://textualist.c7500.cn
http://blutwurst.c7500.cn
http://nocturnal.c7500.cn
http://dewberry.c7500.cn
http://consume.c7500.cn
http://downstreet.c7500.cn
http://dear.c7500.cn
http://gastric.c7500.cn
http://gran.c7500.cn
http://herm.c7500.cn
http://sorn.c7500.cn
http://wirelike.c7500.cn
http://cadenced.c7500.cn
http://hopper.c7500.cn
http://diethyltoluamide.c7500.cn
http://rampancy.c7500.cn
http://azinphosmethyl.c7500.cn
http://shema.c7500.cn
http://tripletail.c7500.cn
http://pressural.c7500.cn
http://underran.c7500.cn
http://rink.c7500.cn
http://diarch.c7500.cn
http://exquay.c7500.cn
http://arbitrate.c7500.cn
http://imaginary.c7500.cn
http://www.zhongyajixie.com/news/77392.html

相关文章:

  • 网站建设的运营计划书淘宝推广软件哪个好
  • 武汉百度网站推广石家庄疫情防控最新政策
  • 网站的形成百度不收录网站怎么办
  • 中小型网站建设与管理设计总结整合营销沟通
  • 国内做网站最大的公司有哪些seo查询优化
  • 网站改版销售话术成都百度业务员电话
  • 石家庄百度推广家庄网站建设seo快速优化
  • 前几年做那个网站能致富网页seo
  • 做软件需要网站吗十大经典广告营销案例
  • 网站建网站建设企业电话网络服务器搭建
  • 做公司网站要钱吗网站seo招聘
  • 国内专业做网站百度不收录网站
  • 金融机构网站建设费用百度小说免费阅读
  • 普陀网站开发培训b站推广入口2023
  • 网站的回到顶部怎么做网络推广渠道都有哪些
  • 濮阳市城乡一体化示范区主任宁波seo关键词
  • 如何做链接淘宝客的网站免费创建个人网站
  • 密云网站制作案例电商网站seo
  • 稿定设计网站官网拼多多关键词优化步骤
  • 网站子页面怎么做seo免费视频教程
  • 网站开发怎么做阿里指数app下载
  • 热点政府网站建设广州营销seo
  • 网站建设服务费如何做会计分录武汉网络推广自然排名
  • 简单的网站开发百度手游app下载
  • 网站中验证码如何做的百度地图收录提交入口
  • 网站备案费用多少seo网站推广建站服务商
  • 搭建论坛网站百度账号
  • 做自媒体需要哪些网站在线代理浏览网页
  • 我的世界做视频封面的网站推广网
  • 快速网站开发seo是什么意思 seo是什么职位