当前位置: 首页 > news >正文

如何做网站的的关键词怎样做平台推广

如何做网站的的关键词,怎样做平台推广,上海vi设计公司有哪些,毕业设计网站开发要做什么实现按键消抖功能: 1.滤除按键按下时的噪声和松开时的噪声信号。 2.获取已消抖的按键按下的标志信号。 3.实现已消抖的按键的连续功能。 Verilog实现 模块端口 key_filter(input wire clk ,input wire rst_n ,input wire key_in , //按下按键时为0output …

实现按键消抖功能:

1.滤除按键按下时的噪声和松开时的噪声信号。

2.获取已消抖的按键按下的标志信号。

3.实现已消抖的按键的连续功能。

Verilog实现

模块端口

key_filter(input	wire 	clk		,input	wire 	rst_n	,input	wire 	key_in	,	//按下按键时为0output 	reg 	key_flag,	//第一次按下的标志信号(已消抖)output 	reg 	key_out	,	//输出按键信号(已消抖)output 	reg 	key_cont	//输出连续按键信号(已消抖)-计时一段时间拉高1次
);

20ms计数

always@(posedge clk or negedge rst_n)if(!rst_n) cnt_20ms <= 20'd0;else if(key_in)	//松下按键cnt_20ms <= 20'd0;else if(cnt_20ms == CNT_20MS_MAX)	//达到消抖时间cnt_20ms <= CNT_20MS_MAX;elsecnt_20ms <= cnt_20ms+20'd1;

按键第一次按下的标志信号

always@(posedge clk or negedge rst_n)if(!rst_n) key_flag<=1'b0;else if(cnt_20ms == CNT_20MS_MAX-20'd1)	//已消抖,拉高key_flag一个周期key_flag<= 1'b1;else key_flag<=1'b0;

已消抖的按键信号

always@(posedge clk or negedge rst_n)if(!rst_n) key_out<=1'b0;else if(key_in)	//松下按键key_out<= 1'b0;else if(key_flag)	//已消抖key_out<= 1'b1;else ;

连续信号所需计数器

always@(posedge clk or negedge rst_n)if(!rst_n) cnt_cont <= 20'd0;else if(key_out) begin	//已消抖if(cnt_cont == CNT_CONT_MAX)cnt_cont <= 20'd0;else cnt_cont <= cnt_cont+20'd1;endelsecnt_cont <= 20'd0;

连续按键信号(已消抖)-计时一段时间拉高1次

always@(posedge clk or negedge rst_n)if(!rst_n) key_cont<=1'b0;else if(key_flag)key_cont <= 1'b1;else if(key_out) begin	//已消抖if(cnt_cont == CNT_CONT_MAX)	//连续按下一定时间,拉高key_cont一个周期key_cont <= 1'b1;else key_cont <= 1'b0;endelsekey_cont <= 1'b0;

testbench:

`timescale 1ns/1ns
module tb_key_filter();reg clk ;
reg rst_n ;
reg key_in ;
reg [7:0] tb_cnt ;wire key_flag;
wire key_out ;
wire key_cont;defparam u_key_filter.CNT_20MS_MAX = 20'd9;
defparam u_key_filter.CNT_CONT_MAX = 24'd49;initial begin clk = 1'b1 ;rst_n = 1'b0;#20rst_n = 1'b1;#(20*199+100)$stop;
endalways #10 clk=~clk;always@(posedge clk or negedge rst_n)if(!rst_n) tb_cnt <=8'b0;else if(tb_cnt ==8'd199)tb_cnt <=8'b0;elsetb_cnt <= tb_cnt +8'b1;always@(posedge clk or negedge rst_n)if(!rst_n) key_in <= 1'b1 ; else if(((tb_cnt>=8'd9) && (tb_cnt<=8'd39))||((tb_cnt>=8'd159) && (tb_cnt<=8'd179)))key_in<={$random}%2;else if((tb_cnt<8'd9)||(tb_cnt>8'd179))key_in<=1'b1;elsekey_in<=1'b0;key_filter u_key_filter(.clk		(clk		),.rst_n		(rst_n		),.key_in		(key_in		), .key_flag	(key_flag	),	//第一次按下的标志信号(已消抖).key_out	(key_out	),	//输出按键信号(已消抖).key_cont	(key_cont	)	//输出连续按键信号(已消抖)-计时一段时间拉高1次
);endmodule

仿真波形:

http://www.zhongyajixie.com/news/63930.html

相关文章:

  • 郑州响应式网站制作搜狗搜索引擎入口
  • 学做网站需要多久时间互联网培训机构排名前十
  • h5网站开发环境河南网站seo
  • 学校诗歌网站建设抖音seo推广外包公司好做吗
  • .net建设网站步骤详解头条新闻
  • 网站建设包含哪些费用怎么制作公司网页
  • 深圳网警北京网站seo哪家公司好
  • iis 网站打不开刷外链网站
  • 男的做直播网站小说推文推广平台
  • 微信小程序网站建设哪家好北京网站seowyhseo
  • 展示网站百度指数批量查询工具
  • 大型网站建设完全教程seo短期培训班
  • php做的网站如何该样式建网站用什么软件
  • 一站式服务广告语百度广告联盟平台的使用知识
  • 国外网页素材网站网页友情链接
  • 湖南网站制作收费标准市场营销十大经典案例
  • 怎么做盗版小说网站吗seo培训机构
  • 创一个app需要多少钱seo搜索是什么意思
  • 彩票游戏网站开发最简短的培训心得
  • 网站定制开发怎么写谷歌推广怎么做
  • 初创企业网站建设流程seo手机优化软件哪个好用
  • 新乡网络网站建设最佳搜索引擎磁力
  • 个人网站如何备案重庆搜索引擎seo
  • 做系统哪个网站好游戏推广赚佣金平台
  • 九江哪家网站建设公司好网络营销特点
  • 郑州网站制作的公司seo建站是什么
  • 站长工具seo综合查询columbu cat广告资源对接平台
  • 广东做网站策划网络服务商在哪咨询
  • 中国住房建设部网站百度网盘app官方下载
  • 国外有哪几家做充电桩网站seo研究中心骗局