当前位置: 首页 > news >正文

网站开发所以浏览器兼容模式百度互联网营销

网站开发所以浏览器兼容模式,百度互联网营销,网站毕业设计代做靠谱吗,wordpress悬浮小宠物LV5_位拆分与运算 题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page1&tabVerilog篇&topicId301) 题目 题目描述: 现在输入了一个压缩的16位数据,其实际上包含了四个数据…

LV5_位拆分与运算

题目来源于牛客网

[牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1&tab=Verilog篇&topicId=301)

题目

题目描述:

现在输入了一个压缩的16位数据,其实际上包含了四个数据,[3:0],[7:4],[11:8],[15:12]

现在请按照sel选择输出四个数据的相加结果,并输出valid_out信号(在不输出时候拉低)

0: 不输出且只有此时的输入有效

1:输出[3:0]+[7:4]

2:输出[3:0]+[11:8]

3:输出[3:0]+[15:12]

信号示意图:

在这里插入图片描述

波形示意图:

在这里插入图片描述

输入描述:
输入信号 d, clk, rst
类型 wire
在testbench中,clk为周期5ns的时钟,rst为低电平复位

输出描述:

输出信号 validout out
类型 reg

代码

`timescale 1ns/1nsmodule data_cal(input clk,input rst,input [15:0]d,input [1:0]sel,output reg [4:0]out,output reg validout
);
//*************code***********//
/*代码思路:先将d分为4个单独的变量;
根据sel的值来选择不同的输出,case语句
*/	reg [15:0] enable_in;		//定义一个寄存器变量来存储有效输入时d的值reg [3:0] data1,data2,data3,data4 ;always @(*) beginif(sel == 0) begin		//sel=0,只有此时的输入有效 ,将此时的d的值存起来enable_in <= d;data1 <= enable_in[3:0];data2 <= enable_in[7:4];data3 <= enable_in[11:8];data4 <= enable_in[15:12];	endend//case循环,根据sel的值来执行不同的输出always @(posedge clk or negedge rst) beginif(!rst) beginvalidout <= 0;out <= 0;endelse begincase (sel)2'd0 : beginout <= 0;validout <= 0;enable_in <= d;end2'd1 : beginout <= data1 + data2;validout <= 1;enable_in <= 0;end2'd2 : beginout <= data1 + data3;validout <= 1;enable_in <= 0;end2'd3 : beginout <= data1 + data4;validout <= 1;enable_in <= 0;enddefault : beginout <= 0;validout <= 0;enable_in <= 0;endendcaseendend//*************code***********//
endmodule
http://www.zhongyajixie.com/news/34365.html

相关文章:

  • 布拖网站建设竞价托管外包服务
  • 网站建设与管理自考重点网站建设策划方案
  • 传奇手游网站seo发包排名软件
  • 做网站上是外部连接怎么改广州网站制作公司
  • 哪个网站做母婴用品好最佳磁力吧ciliba磁力链
  • 株洲网站建设电子商务平台
  • 做网络推广的网站有哪些有创意的营销案例
  • 网站目录做二级域名实时排名软件
  • wordpress添加下载地址百度seo是啥意思
  • 上海网站建设公司排行网站seo顾问
  • 网站开发棋牌上海百度分公司电话
  • 可以做红娘的相亲网站国家免费职业技能培训官网
  • 微信网站建设费用计入什么科目全网搜索引擎优化
  • 互联网传媒 网站百度网址安全检测中心
  • 塘沽做网站的公司上海优化价格
  • 广东网站优化百度推广开户费
  • 苹果手机平板的设计网站怎么买到精准客户的电话
  • jsp动态网站开发 作者营销咨询公司经营范围
  • 电子商务网站建设与维护03网络推广怎么收费
  • 网站外链建设方法免费b站在线观看人数在哪儿
  • 响应式网站报价百度站长工具app
  • 数据资源网站如何做网站推广怎样做
  • 在线制作logo图片免费张北网站seo
  • 网站建设要咨询哪些内容河南自助建站seo公司
  • 广州网站建设c2cseo排名赚钱
  • 做网站的公司主要做shm网络营销和网络销售的关系
  • 商务网站建设实训报告总结线上销售平台有哪些
  • qq空间是用什么做的网站赚钱软件
  • 自己有服务器如何架设网站网络营销成功案例有哪些2022
  • 门户网站盈利站长工具收录